ASM International - ASM International

ASM International NV
Modelo Naamloze vennootschap
Euronext Amsterdam :  componente ASM
AEX
É EM NL0000334118
Indústria Indústria de semicondutores
Fundador Arthur del Prado
Quartel general ,
Pessoas chave
Benjamin Loh ( CEO ) , Paul Verhagen ( CFO ), Martin Pernis ( Presidente do conselho fiscal )
Produtos Equipamento para fabricação de semicondutores
Receita € 1,283 bilhão (2019)
€ 378,7 milhões (2019)
€ 329 milhões (2019)
Total de ativos € 2,17 bilhões (final de 2019)
Equidade total € 2,18 bilhões (final de 2019)
Número de empregados
2.337 (final de 2019)
Subsidiárias ASM Pacific Holding BV (acionista minoritário da ASM Pacific Technology)
Local na rede Internet www.asm.com

ASM International é uma empresa multinacional com sede na Holanda, especializada em design, fabricação, vendas e serviço de equipamentos de processamento de wafer de semicondutor para a fabricação de dispositivos semicondutores . Os produtos da ASM são usados ​​por fabricantes de semicondutores no processamento de wafer front-end em suas fábricas de semicondutores . As tecnologias da ASM incluem deposição de camada atômica , epitaxia , deposição de vapor químico e difusão.

A empresa foi fundada por Arthur del Prado (1931-2016) como 'Advanced Semiconductor Materials' em 1964. De 2008 até 2020, filho de Arthur del Prado, Chuck del Prado foi CEO . A ASM foi pioneira em aspectos importantes de muitas tecnologias de processamento de wafer estabelecidas usadas na indústria, incluindo litografia , deposição , implantação de íons , epitaxia de wafer único e , nos últimos anos , deposição de camada atômica . As empresas de equipamentos de semicondutores ASML , ASM Pacific Technology e Besi são antigas divisões da ASM.

A sede da ASM International está localizada em Almere , Holanda . A empresa possui unidades de P&D em Almere (Holanda), Helsinque (Finlândia), Leuven (Bélgica, próximo ao IMEC ), Phoenix (Arizona), Tama (Japão) e Dongtan ( Coréia do Sul ). A fabricação ocorre principalmente em Cingapura e Dongtan ( Coréia do Sul ). A ASM também possui escritórios de vendas e serviços em todo o mundo, incluindo Estados Unidos , Coréia do Sul , China , Taiwan , Japão , Cingapura e Israel . Em 2019, contava com 2.337 funcionários, localizados em 14 países.

As ações da empresa estão listadas na Euronext Amsterdam . Em março de 2020, o ASM foi promovido ao índice AEX . A ASM International tem uma participação minoritária na ASM Pacific Technology, uma empresa sediada em Hong Kong, ativa na montagem de semicondutores, embalagem e tecnologia de montagem em superfície .

Tecnologia

Para criar um chip semicondutor , muitas etapas individuais são realizadas usando vários tipos de equipamento de processamento de wafer , incluindo padronização fotolitográfica , depósito de camadas finas, corrosão para remover material, tratamentos térmicos e outras etapas. Os sistemas ASM são projetados para processos de deposição, quando filmes finos , ou camadas, de vários materiais são cultivados ou depositados no wafer. Muitas camadas de filme fino diferentes são depositadas para completar a sequência completa de etapas do processo necessárias para a fabricação de um chip.

O desenvolvimento de tecnologia da ASM é impulsionado pela meta de seus clientes de construir chips semicondutores mais rápidos, baratos e poderosos com consumo de energia reduzido. Esse objetivo leva à necessidade de reduzir as dimensões dos componentes no chip, visando dobrar o número de componentes por unidade de área em um chip a cada dois anos ( lei de Moore ). Como parte dessa escala de dimensões, a ASM fornece a seus clientes - fabricantes de chips - máquinas que depositam filmes cada vez mais finos de materiais semicondutores . A ASM também desenvolve processos de deposição de novos materiais a serem usados ​​na fabricação de semicondutores.

Durante os últimos 15 anos, uma gama crescente de novos materiais foi introduzida na fabricação de chips. Esses novos materiais foram necessários para alcançar as melhorias de desempenho necessárias dos chips, conforme descrito pela Lei de Moore. Por exemplo, em 2007 em um transistor MOSFET , o dielétrico oxidegate de silício foi substituído por um high-κ , um material que tem uma resistência elétrica maior do que o óxido de silício. Nesse caso específico, a ASM foi pioneira no processo químico e no novo método de deposição chamado deposição de camada atômica durante quase uma década de P&D. Além disso, métodos de deposição cada vez mais precisos são necessários à medida que os componentes em um chip, como transistores, são movidos de estruturas planas para 3D , como FinFETs na última década. ASM tem uma posição de liderança na deposição de camada atômica de wafer único (ALD).

Pesquisar

A ASM oferece vários métodos e máquinas acompanhantes para depositar essas películas finas de materiais. A empresa tenta expandir ao máximo a aplicabilidade de suas tecnologias e máquinas de deposição. P&D é fundamental nesse esforço. Em 2019, a empresa gastou 111 milhões de euros em P&D (ou 9% de sua receita anual). As atividades de P&D vão da pesquisa básica de novos materiais à aplicação de novos materiais na fabricação de chips.

Produtos

A ASM projeta e vende tanto ferramentas de deposição de wafer único, em que o processo é executado um wafer por vez, quanto as chamadas ferramentas de lote , nas quais a deposição é realizada em vários wafers de uma vez. Os preços dos sistemas da empresa variam, mas normalmente são múltiplos de milhões de euros por sistema. Os produtos do ASM podem ser categorizados pelo método de deposição:

A deposição de camada atômica é um processo de camada por camada que resulta na deposição de filmes finos, uma camada atômica por vez, de maneira altamente controlada. As camadas são formadas durante os ciclos de reação pulsando alternadamente precursores e reagentes e purgando com gás inerte entre cada pulso. A ASM oferece ferramentas ALD de wafer único em dois segmentos de tecnologia:ALD térmico eALD aprimorado por plasma (PEALD). As ferramentas ALD da ASM incluem Synergis, Pulsar e EmerALD. As ferramentas PEALD incluem Eagle XP8 e XP8 QCM.

Epitaxy é um processo usado para depositar camadas à base de silício cristalino precisamente controladas que são importantes para as propriedades elétricas de dispositivos semicondutores. O processo de epitaxi de silício pode ser usado para modificar as características elétricas da superfície do wafer para criar transistores de alto desempenho durante a fabricação de chips semicondutores. As ferramentas de epitaxia do ASM são ferramentas de wafer único e incluem Intrepid e Epsilon.

A Deposição Química de Vapor é um processo de deposição química no qual o wafer é exposto a um ou mais precursores voláteis, que reagem e / ou se decompõem nasuperfíciedo substrato para produzir o filme desejado. Dentro da Deposição Química de Vapor (CVD), o ASM oferece dois tipos de ferramentas: CVD aprimorado com plasma de wafer único(PECVD) e CVD de baixa pressão em lote (LPCVD). O ASM fornece processos PECVD de wafer único na ferramenta Dragon XP8. A ASM fornece processos de LPCVD / difusão em lote no forno vertical A412 Plus e nas ferramentas A400 DUO.

História

1960 : Em 1964, Arthur del Prado fundou a ASM como 'Advanced Semiconductor Materials' em Bilthoven, Holanda. Inicialmente, a empresa atua como agente de vendas em tecnologia de fabricação de semicondutores na Europa. Em 1968, a empresa foi formalmente listada como uma sociedade anônima privada.

Década de 1970 : ASM começa a projetar, fabricar e vender equipamentos de deposição de vapor químico . Em 1974, adquire a Fico Toolings, fabricante holandês de moldes para semicondutores . Um escritório de vendas de Hong Kong ASM Asia, agora conhecido e comercializado como ASM Pacific Technology, foi estabelecido em 1975. ASM America foi fundada em Phoenix, Arizona , em 1976. A venda de fornos horizontais de deposição de vapor químico otimizado por plasma impulsiona o crescimento da empresa.

Década de 1980 : Após uma oferta pública inicial na Nasdaq em maio de 1981, a empresa se expande. Em 1982, a ASM Japan é estabelecida. A ASM investe em novas tecnologias de fabricação de semicondutores, como litografia, implantação de íons, epitaxia e ligação de fios . Em 1988, a empresa vende ASML Holding NV , ASM Ion Implant, e lista suas atividades baseadas em Hong Kong como ASM Pacific Technology na bolsa de valores de Hong Kong em 1989.

Década de 1990 : A empresa se reorganizou completamente entre 1991 e 1994. Em 1993, a ASM vende a ASM Fico para a Berliner Electro Holding, agora conhecida como Besi . A ASM se concentra em fornos verticais de deposição de vapor químico de baixa pressão da ASM Europe, deposição de vapor químico aprimorada por plasma de wafer simples pela ASM Japan e epitaxia de wafer simples pela ASM America. A partir de 1996, a empresa também está listada na Euronext , Amsterdam .ASM detém uma participação majoritária na ASM Pacific Technology.

Década de 2000 : ASM se expande novamente com investimentos em tecnologia de wafer de 300 mm e deposição de camada atômica . Em 2007, a empresa trouxe com sucesso a deposição da camada atômica de P&D para a produção de alto volume por meio da aplicação de porta de metal high-κ . Ao mesmo tempo, os fundos de hedge questionam a participação da empresa na ASM Pacific Technology. Em 2008, Arthur del Prado é sucedido como CEO por seu filho, Chuck del Prado. Em 2009, a sede foi transferida de Bilthoven para Almere , na Holanda .

Década de 2010 : A empresa retorna à lucratividade estrutural após a execução de um programa de reestruturação mundial, que inclui a implementação de uma organização voltada para o produto, uma única organização de vendas global, consolidação da manufatura em Cingapura e o estabelecimento de recursos humanos globais , finanças , TI , excelência operacional e organização de meio ambiente, saúde e segurança . A aplicação de deposição de camada atômica (aprimorada por plasma) em padrões múltiplos e porta de metal alto-κ impulsiona o crescimento do ASM. Outros produtos incluem epitaxy, PECVD e forno vertical. Sua participação na ASM Pacific Technology é reduzida para 25%. Em 2020, na Euronext, a empresa passa a integrar o índice AEX . que inclui as 25 maiores empresas listadas na bolsa de valores Euronext Amsterdam. No mesmo ano, após 12 anos como CEO, Chuck del Prado decidiu renunciar, sendo sucedido por Benjamin Loh.

Finanças

Receitas

A ASM vende seus equipamentos para fabricantes de semicondutores em todo o mundo, com a maior parte de suas receitas de clientes asiáticos. Em 2019, 1,07 bilhão de euros do total de 1,28 bilhão de euros em receitas foi gerado pela venda de equipamentos, o restante veio de peças de reposição e serviços.

Ano Receita Perda de lucro
2013 Diminuir € 452 milhões Aumentar € 106 milhões
2014 Aumentar € 602 milhões Aumentar € 141 milhões
2015 Diminuir € 670 milhões Aumentar € 157 milhões
2016 Diminuir € 598 milhões Diminuir € 135 milhões
2017 Aumentar € 737 milhões Aumentar € 452 milhões
2018 Aumentar € 818 milhões Diminuir € 157 milhões
2019 Aumentar € 1,28 bilhão Aumentar € 329 milhões

Capitalização de mercado

As ações da ASM são negociadas na bolsa de valores Euronext desde 1996. Desde março de 2020, a ASM está incluída no índice AEX . A capitalização de mercado da ASM Pacific Technology não está mais consolidada depois que a participação da ASM na ASM Pacific Technology diminuiu para 25 por cento em 2013. Entre 1981 e 2015, a ASM também foi listada na Nasdaq .

Em 2018, o preço médio das ações era de € 48,62, resultando em uma capitalização de mercado média de 2,53 bilhões de euros. Em 2019, o preço médio de fecho foi de € 68,98, resultando numa capitalização bolsista média de 3,38 mil milhões de euros. No semestre de 2020, o preço médio das ações foi de € 105,47, com uma capitalização média de mercado de 5,41 bilhões de euros.

Referências

links externos