Braço forte - StrongARM

Microprocessador DEC StrongARM SA-110

O StrongARM é uma família de microprocessadores de computador desenvolvidos pela Digital Equipment Corporation e fabricados no final da década de 1990 que implementou a arquitetura do conjunto de instruções ARM v4 . Posteriormente, foi vendido para a Intel em 1997, que continuou a fabricá-lo antes de substituí-lo pelo XScale no início dos anos 2000.

História

De acordo com Allen Baum, a história do StrongARM remonta a tentativas de fazer uma versão de baixa potência do DEC Alpha , que os engenheiros da DEC concluíram rapidamente que não era possível. Eles então se interessaram por designs dedicados a aplicações de baixo consumo de energia, o que os levou à família ARM. Um dos únicos grandes usuários do ARM para produtos relacionados ao desempenho naquela época era a Apple , cujo dispositivo Newton era baseado na plataforma ARM. A DEC se aproximou da Apple perguntando se eles poderiam estar interessados ​​em um ARM de alto desempenho, ao que os engenheiros da Apple responderam "Phhht, sim. Você não pode fazer isso, mas, sim, se pudesse, nós o usaríamos."

O StrongARM foi um projeto colaborativo entre DEC e Advanced RISC Machines para criar um microprocessador ARM mais rápido. O StrongARM foi projetado para lidar com a extremidade superior do mercado embarcado de baixo consumo de energia, onde os usuários precisavam de mais desempenho do que o ARM poderia oferecer, embora fosse capaz de aceitar mais suporte externo. Os alvos eram dispositivos como assistentes digitais pessoais e decodificadores mais recentes .

Tradicionalmente, a divisão de semicondutores da DEC estava localizada em Massachusetts . Para obter acesso ao talento em design no Vale do Silício , a DEC abriu um centro de design em Palo Alto, Califórnia . Este centro de design foi liderado por Dan Dobberpuhl e foi o principal site de design para o projeto StrongARM. Outro site de design que trabalhou no projeto foi em Austin, Texas, que foi criado por alguns ex-designers da DEC voltando da Apple Computer e da Motorola . O projeto foi criado em 1995 e rapidamente entregou seu primeiro projeto, o SA-110 .

A DEC concordou em vender o StrongARM para a Intel como parte de um acordo judicial em 1997. A Intel usou o StrongARM para substituir sua linha debilitada de processadores RISC, o i860 e o i960 .

Quando a divisão de semicondutores da DEC foi vendida para a Intel, muitos engenheiros do grupo de design de Palo Alto mudaram-se para a SiByte , uma empresa iniciante que projeta produtos MIPS system-on-a-chip (SoC) para o mercado de rede. O grupo de design de Austin se transformou em Alchemy Semiconductor , outra empresa iniciante que projeta SoCs MIPS para o mercado de mão. Um novo núcleo StrongARM foi desenvolvido pela Intel e apresentado em 2000 como o XScale .

SA-110

O SA-110 foi o primeiro microprocessador da família StrongARM. As primeiras versões, operando a 100, 160 e 200 MHz, foram anunciadas em 5 de fevereiro de 1996. Quando anunciadas, amostras dessas versões estavam disponíveis, com produção em volume programada para meados de 1996. Versões mais rápidas de 166 e 233 MHz foram anunciadas em 12 de setembro de 1996. Amostras dessas versões estavam disponíveis no anúncio, com produção em volume prevista para dezembro de 1996. Ao longo de 1996, o SA-110 foi o microprocessador de melhor desempenho para dispositivos portáteis. No final de 1996, era uma CPU líder para dispositivos de Internet / intranet e sistemas thin client . A primeira vitória de design do SA-110 foi o Apple MessagePad 2000 . Ele também foi usado em vários produtos, incluindo o Acorn Computers Risc PC e o sistema de edição de vídeo Eidos Optima . Os principais designers do SA-110 foram Daniel W. Dobberpuhl , Gregory W. Hoeppner, Liam Madden e Richard T. Witek.

Descrição

O SA-110 tinha uma microarquitetura simples . Era um projeto escalar que executava instruções em ordem com um pipeline RISC clássico de cinco estágios . O microprocessador foi particionado em vários blocos, o IBOX, EBOX, IMMU, DMMU, BIU, WB e PLL. O IBOX continha hardware que operava nas duas primeiras etapas do pipeline, como o contador do programa . Ele buscou, decodificou e emitiu instruções. A busca de instrução ocorre durante o primeiro estágio, a decodificação e emissão durante o segundo. O IBOX decodifica as instruções mais complexas no conjunto de instruções ARM, traduzindo-as em sequências de instruções mais simples. O IBOX também lidou com instruções de ramificação. O SA-110 não tinha hardware de previsão de ramificação , mas tinha mecanismos para seu processamento rápido.

A execução começa no estágio três. O hardware que opera durante esta fase está contido na EBOX, que compreende o arquivo de registro , a unidade lógica aritmética (ALU), o barrel shifter , o multiplicador e a lógica do código de condição. O arquivo de registro tinha três portas de leitura e duas portas de gravação. A ALU e o barrel shifter executaram instruções em um único ciclo. O multiplicador não é pipeline e tem uma latência de vários ciclos.

O IMMU e o DMMU são unidades de gerenciamento de memória para instruções e dados, respectivamente. Cada MMU continha um buffer lookaside de tradução totalmente associativo (TLB) de 32 entradas que pode mapear páginas de 4 KB, 64 KB ou 1 MB . O buffer de gravação (WB) tem oito entradas de 16 bytes. Ele permite o pipelining de lojas. A unidade de interface de barramento (BIU) forneceu ao SA-110 uma interface externa.

O PLL gera o sinal de clock interno a partir de um sinal de clock externo de 3,68 MHz. Não foi projetado pela DEC, mas foi contratado pelo Centre Suisse d'Electronique et de Microtechnique (CSEM), localizado em Neuchâtel, na Suíça .

O cache de instrução e o cache de dados têm, cada um, capacidade de 16 KB, são conjuntos associativos de 32 vias e virtualmente endereçados. O SA-110 foi projetado para ser usado com memória lenta (e, portanto, de baixo custo) e, portanto, a alta associatividade do conjunto permite uma taxa de acerto mais alta do que projetos concorrentes, e o uso de endereços virtuais permite que a memória seja simultaneamente armazenada em cache e não armazenada em cache. Os caches são responsáveis ​​pela maior parte da contagem de transistores e ocupam metade da área da matriz.

O SA-110 continha 2,5 milhões de transistores e tinha 7,8 mm por 6,4 mm de largura (49,92 mm 2 ). Foi fabricado pela DEC na sua propriedade processo CMOS-6 na sua Fab 6 Fab em Hudson, Massachusetts. CMOS-6 foi o processo de semicondutor de óxido metálico complementar (CMOS) de sexta geração da DEC . O CMOS-6 tem um tamanho de recurso de 0,35 µm, um comprimento de canal efetivo de 0,25 µm, mas para uso com o SA-110, apenas três níveis de interconexão de alumínio . Ele usava uma fonte de alimentação com uma tensão variável de 1,2 a 2,2 volts (V) para permitir que os projetos encontrassem um equilíbrio entre o consumo de energia e o desempenho (tensões mais altas permitem taxas de clock mais altas). O SA-110 foi embalado em um pacote plano quad fino de 144 pinos (TQFP).

SA-1100

O SA-1100 era um derivado do SA-110 desenvolvido pela DEC. Anunciado em 1997, o SA-1100 foi direcionado para aplicações portáteis como PDAs e difere do SA-110 por fornecer uma série de recursos que são desejáveis ​​para tais aplicações. Para acomodar esses recursos, o cache de dados foi reduzido para 8 KB.

Os recursos extras são memória integrada, PCMCIA e controladores de LCD coloridos conectados a um barramento de sistema na matriz e cinco canais de E / S seriais que são conectados a um barramento periférico conectado ao barramento de sistema. O controlador de memória suportava FPM e EDO DRAM, SRAM, flash e ROM. O controlador PCMCIA suporta dois slots. O endereço de memória e o barramento de dados são compartilhados com a interface PCMCIA. A lógica de colagem é necessária. Os canais de E / S seriais implementam uma interface USB escrava, um SDLC , dois UARTs , uma interface IrDA , um MCP e uma porta serial síncrona .

O SA-1100 tinha um chip complementar, o SA-1101. Foi apresentado pela Intel em 7 de outubro de 1998. O SA-1101 forneceu periféricos adicionais para complementar aqueles integrados no SA-1100, como uma porta de saída de vídeo, duas portas PS / 2 , um controlador USB e um controlador PCMCIA que substitui o do o SA-1100. O design do dispositivo começou pela DEC, mas foi apenas parcialmente concluído quando foi adquirido pela Intel, que teve que terminar o design. Foi fabricado na antiga fábrica da DEC em Hudson, Massachusetts , que também foi vendida para a Intel.

O SA-1100 continha 2,5 milhões de transistores e media 8,24 mm por 9,12 mm (75,15 mm 2 ). Ele foi fabricado em um processo CMOS de 0,35 μm com três níveis de interconexão de alumínio e foi embalado em um TQFP de 208 pinos.

Um dos primeiros destinatários deste processador teve o azar do netBook Psion e seu irmão Psion Series 7, mais voltado para o consumidor .

SA-1110

O SA-1110 foi um derivado do SA-110 desenvolvido pela Intel. Foi anunciado em 31 de março de 1999, posicionado como uma alternativa ao SA-1100. No anúncio, as amostras foram definidas para junho de 1999 e o volume mais tarde naquele ano. A Intel descontinuou o SA-1110 no início de 2003. O SA-1110 estava disponível nas versões de 133 ou 206 MHz. Ele diferia do SA-1100 por apresentar suporte para SDRAM de 66 MHz (versão de 133 MHz apenas) ou 103 MHz (versão de 206 MHz apenas) . Seu chip companheiro, que fornecia suporte adicional para periféricos, era o SA-1111. O SA-1110 foi embalado em uma matriz de grade de microesferas de 256 pinos . Foi usado em telefones celulares, assistentes de dados pessoais (PDAs) como o Compaq (mais tarde HP) iPAQ e HP Jornada , o Sharp SL-5x00 Linux Based Platforms e o Simputer . Ele também foi usado para executar o Intel Web Tablet, um tablet que é considerado potencialmente o primeiro a apresentar navegação portátil na web em tela grande. A Intel abandonou o produto pouco antes do lançamento em 2001.

SA-1500

O SA-1500 foi um derivado do SA-110 desenvolvido pela DEC inicialmente voltado para decodificadores . Ele foi projetado e fabricado em baixos volumes pela DEC, mas nunca foi colocado em produção pela Intel. O SA-1500 estava disponível em 200 a 300 MHz. O SA-1500 apresentava um núcleo SA-110 aprimorado, um coprocessador no chip chamado Attached Media Processor (AMP) e um SDRAM no chip e controlador de barramento de E / S. O controlador SDRAM oferece suporte a SDRAM de 100 MHz e o controlador de E / S implementa um barramento de E / S de 32 bits que pode operar em frequências de até 50 MHz para conexão com periféricos e com o chip complementar SA-1501.

O AMP implementou um longo conjunto de instruções de palavras de instrução contendo instruções projetadas para multimídia, como números inteiros e ponto flutuante, multiplicação-acumulação e aritmética SIMD . Cada palavra de instrução longa tem 64 bits de largura e especifica uma operação aritmética e um desvio ou um carregamento / armazenamento. As instruções operam em operandos de um arquivo de registro de 36 bits de 64 entradas e em um conjunto de registros de controle. O AMP se comunica com o núcleo SA-110 por meio de um barramento no chip e compartilha o cache de dados com o SA-110. O AMP continha uma ALU com um deslocador, uma unidade de ramificação, uma unidade de carga / armazenamento, uma unidade de multiplicação-acumulação e uma unidade de ponto flutuante de precisão simples . O AMP oferece suporte a instruções definidas pelo usuário por meio de um armazenamento de controle gravável de 512 entradas.

O chip complementar SA-1501 forneceu recursos adicionais de processamento de vídeo e áudio e várias funções de E / S, como portas PS / 2, uma porta paralela e interfaces para vários periféricos.

O SA-1500 contém 3,3 milhões de transistores e mede 60 mm 2 . Foi fabricado em um processo CMOS de 0,28 µm. Ele usava uma fonte de alimentação interna de 1,5 a 2,0 V e 3,3 VI / O, consumindo menos de 0,5 W a 100 MHz e 2,5 W a 300 MHz. Ele foi embalado em um pacote plano quádruplo de metal de 240 pinos ou uma matriz de grade de bola de plástico de 256 esferas .

Trava StrongARM

A trava StrongARM é uma topologia de circuito de trava eletrônica proposta pela primeira vez pelos engenheiros da Toshiba , Tsuguo Kobayashi et al. e recebeu atenção significativa após ser usado em microprocessadores StrongARM. É amplamente utilizado como um amplificador de sentido , um comparador ou apenas uma trava robusta com alta sensibilidade.

Referências

Leitura adicional

  • "Garras StrongARM-1500 com MPEG-2". (8 de dezembro de 1997). Relatório do microprocessador .
  • Halfhill, Tom R. (19 de abril de 1999). "Intel Flexes StrongArm Com Novos Chips". Relatório do microprocessador .
  • Litch, Tim; Slaton, Jeff (março / abril de 1998). "StrongARMing Portable Communications". IEEE Micro . pp. 48–55.
  • Santhanam, S. et al. (Novembro de 1998). "Uma CPU RISC de baixo custo de 300 MHz com processador de mídia conectado". IEEE Journal of Solid-State Circuits , vol. 33, não. 11. pp. 1829–1839.
  • Turley, Jim (13 de novembro de 1995). "StrongArm aumenta o desempenho do ARM". Relatório do microprocessador .
  • Turley, Jim (15 de setembro de 1997). "SA-1100 coloca o PDA em um chip". Relatório do microprocessador .
  • Witek, Rich; Montanaro, James (1996). "StrongARM: um processador ARM de alto desempenho". Proceedings of COMPCON '96 , pp. 188–191.